// Text fixture for the runlength encoder module tletf (input clk, output reg reset2, output reg [6:0] char); initial begin char = 1; reset2 = 1; @(posedge clk); @(posedge clk); @(posedge clk); char = 2; @(posedge clk); char = 3; @(posedge clk); char = 4; @(posedge clk); char = 4; @(posedge clk); char = 4; @(posedge clk); char = 4; @(posedge clk); char = 5; @(posedge clk); char = 6; @(posedge clk); char = 6; @(posedge clk); char = 7; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 8; reset2 = 0; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 8; @(posedge clk); char = 9; end endmodule