// test bench for the MUX2 module module MUX2_tb (); logic SEL, I, J; // simulated inputs logic V; // net for reading output // instance of module we want to test ("device under test") MUX2 dut (.V(V), .SEL(SEL), .I(I), .J(J)); int i; initial // build stimulus (test vectors) begin // start of "block" of code for(i = 0; i < 8; i = i + 1) begin {SEL, I, J} = i; #10; end end // end of "block" of code endmodule // MUX2_tb