// test bench for seg7 module module seg7_tb (); logic [3:0] bcd; logic [6:0] leds; seg7 dut (.bcd(bcd), .leds(leds)); int i; initial begin for (i = 0; i < 16; i++) begin bcd = i; #20; end $stop; end endmodule // seg7_tb