Riptide: Fast End-to-End Binarized Neural Networks

J. Fromm, M. Cowan, M. Philipose, L. Ceze, S. PatelProceedings of the 3rd MLSys Conference, 2020.

Automatic generation of high-performance quantized machine learning kernels

M. Cowan, T. Moreau, T. Chen, J. Bornholt, L. CezeProceedings of the 18th ACM/IEEE International Symposium on Code Generation and Optimization, 2020.

Probing the physical limits of reliable DNA data retrieval

L. Organick, Y.J. Chen, S.Dumas Ang, R. Lopez, X. Liu, K. Strauss, L. CezeNature communicationsNature Publishing Group 11:1, 2020.

Stabilizing synthetic DNA for long-term data storage with earth alkaline salts

X. Kohll, P.L. Antkowiak, W. Chen, B. Nguyen, W.Jan Stark, L. Ceze, K. Strauss, R.N. GrassChemical CommunicationsRoyal Society of Chemistry, 2020.

PLink: Efficient Cloud-based Training with Topology-aware Dynamic Hierarchical Aggregation

L. Luo, P. West, J. Nelson, A. Krishnamurthy, L. CezeProceedings of the 3rd MLSys Conference, 2020.

Genotype extraction and false relative attacks: Security risks to third-party genetic genealogy services beyond identity inference.

P. Ney, L. Ceze, T. KohnoNetwork and Distributed System Security Symposium (NDSS) 10:29, 2020.

Molecular digital data storage using DNA

L. Ceze, J. Nivala, K. StraussNature Reviews GeneticsNature Publishing Group, 2019.

Perceptual Compression for Video Storage and Processing Systems

A. Mazumdar, B. Haynes, M. Balazinska, L. Ceze, A. Cheung, M. OskinProceedings of the ACM Symposium on Cloud ComputingACM, 2019.

Scaling Microfluidics to Complex, Dynamic Protocols

M. Willsey, A. Stephenson, C. Takahashi, B. Nguyen, K. Strauss, L. Ceze2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)IEEE, 2019.

High density DNA data storage library via dehydration with digital microfluidic retrieval

S. Newman, A.P. Stephenson, M. Willsey, B.H. Nguyen, C.N. Takahashi, K. Strauss, L. CezeNature communicationsNature Publishing Group 10:1, 2019.

Puddle: A Dynamic, Error-Correcting, Full-Stack Microfluidics Platform

M. Willsey, A.P. Stephenson, C. Takahashi, P. Vaid, B.H. Nguyen, M. Piszczek, C. Betts, S. Newman, S. Joshi, K. Strauss, L. CezeInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2019.

DNA Data Storage and Hybrid Molecular–Electronic Computing

D. Carmean, L. Ceze, G. Seelig, K. Stewart, K. Strauss, M. WillseyProceedings of the IEEE, 2019.

A Hardware–Software Blueprint for Flexible Deep Learning Specialization

T. Moreau, T. Chen, L. Vega, J. Roesch, E. Yan, L. Zheng, J. Fromm, Z. Jiang, L. Ceze, C. Guestrin,  othersIEEE MicroIEEE 39:5, 2019.

Combining Data Longevity with High Storage Capacity—Layer-by-Layer DNA Encapsulated in Magnetic Nanoparticles

W.D. Chen, X. Kohll, B.H. Nguyen, J. Koch, R. Heckel, W.J. Stark, L. Ceze, K. Strauss, R.N. GrassAdvanced Functional MaterialsWiley Online Library, 2019.

Demonstration of end-to-end automation of DNA data storage

C.N. Takahashi, B.H. Nguyen, K. Strauss, L. CezeScientific reportsNature Publishing Group 9:1, 2019.

DNA assembly for nanopore data storage readout

R. Lopez, Y.J. Chen, S.Dumas Ang, S. Yekhanin, K. Makarychev, M.Z. Racz, G. Seelig, K. Strauss, L. CezeNature communicationsNature Publishing Group 10:1, 2019.

LightDB: A DBMS for Virtual Reality Video

B. Haynes, A. Mazumdar, A. Alaghi, M. Balazinska, L. Ceze, A. CheungProceedings of VLDB, 2018.

Application Codesign of Near-Data Processing for Similarity Search

V.T. Lee, A. Mazumdar, C.C. del Mundo, A. Alaghi, L. Ceze, M. OskinIPDPS, 2018.

MATIC: Learning Around Erros for Efficient Low-Voltage Neural Network Accelerators

S. Kim, P. Howe, T. Moreau, A. Alaghi, L. Ceze, V. SatheDesign, Automation and Test in Europe, 2018.  Best paper award.

Correlation Manipulating Circuits for Stochastic Computing

V. Lee, A. Alaghi, L. CezeDesign, Automation and Test in Europe, 2018.

Troubleshooting Transiently-Recurring Errors in Production Systems with Blame-Proportional Logging

L. Luo, S. Nath, L.Ravindrana Sivalingam, M. Musuvathi, L. CezeUSENIX Annual Technical Conference (USENIX ATC), 2018.

TVM: An Automated End-to-End Optimizing Compiler for Deep Learning

T. Chen, T. Moreau, Z. Jiang, L. Zheng, E. Yan, H. Shen, M. Cowan, L. Wang, Y. Hu, L. CezeSymposium on Operating Systems Design and Implementation (OSDI), 2018.

Parameter Hub: a Rack-Scale Parameter Server for Distributed Deep Neural Network Training

L. Luo, J. Nelson, L. Ceze, A. Phanishayee, A. KrishnamurthyProceedings of the ACM Symposium on Cloud ComputingACM, 2018.

Random access in large-scale DNA data storage

L. Organick, S.Dumas Ang, Y.J. Chen, R. Lopez, S. Yekhanin, K. Makarychev, M.Z. Racz, G. Kamath, P. Gopalan, B. Nguyen, C.N. Takahashi, S. Newman, H.Y. Parker, C. Rashtchian, K. Stewart, G. Gupta, R. Carlson, J. Mulligan, D. Carmean, G. Seelig, L. Ceze, K. StraussNature Biotechnology, 2018.  ISBN: 1364298980840

A content-addressable DNA database with learned sequence encodings

K. Stewart, Y.J. Chen, D. Ward, X. Liu, G. Seelig, K. Strauss, L. CezeInternational Conference on DNA Computing and Molecular ProgrammingSpringer, 2018.

DNA data storage and hybrid molecular–electronic computing

D. Carmean, L. Ceze, G. Seelig, K. Stewart, K. Strauss, M. WillseyProceedings of the IEEEIEEE 107:1, 2018.

Architecture Considerations for Stochastic Computing Accelerators

V.T. Lee, A. Alaghi, R. Pamula, V.S. Sathe, L. Ceze, M. OskinIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37:11, 2018.

Learning to optimize tensor programs

T. Chen, L. Zheng, E. Yan, Z. Jiang, T. Moreau, L. Ceze, C. Guestrin, A. KrishnamurthyAdvances in Neural Information Processing Systems (NeurIPS), 2018.

Iterative Search for Reconfigurable Accelerator Blocks with a Compiler in the Loop

M. Willsey, V.T. Lee, A. Cheung, R. Bodík, L. CezeIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018.

Clustering Billions of Reads for DNA Data Storage

C. Rashtchian, K. Makarychev, M. Racz, S. Ang, D. Jevdjic, S. Yekhanin, L. Ceze, K. StraussNIPS, 2017.

A Taxonomy of General Purpose Approximate Computing Techniques

T. Moreau, J.S. Miguel, M. Wyse, J. Bornholt, A. Alaghi, L. Ceze, N.E. Jerger, A. SampsonIEEE Embedded Systems Letters, 2017.

The Evolution of Bitcoin Hardware

M. TaylorComputer, IEEE, 2017.

Celerity: An Open Source RISC-V Tiered Accelerator Fabric

T. Ajayi, K. Al-Hawaj, A. Amarnath, S. Dai, S. Davidson, P. Gao, G. Liu, A. Lotfi, J. Puscar, A. Rao, A. Rovinski, L. Salem, N. Sun, C. Torng, L. Vega, B. Veluri, X. Wang, S. Xie, C. Zhao, R. Zhao, C. Batten, R.G. Dreslinski, I. Galton, R.K. Gupta, P.P. Mercier, M. Srivastava, M.Bedford Taylor, Z. ZhangHOTCHIPS, 2017.

Specializing a Planet's Computation: ASIC Clouds

M. Khazraee, L. Vega, I. Magaki, M. TaylorIEEE Micro, 2017.

Exploring Computation-Communication Tradeoffs in Camera Systems

A. Mazumdar, T. Moreau, S. Kim, M. Cowan, A. Alaghi, L. Ceze, M. Oskin, V. SatheIISWC, 2017.

IncBricks: Towards In-network Computation with an In-Network Cache

M. Liu, L. Luo, J. Nelson, A. Krishnamurthy, L. CezeInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2017.  Selected as Honorable Mention for IEEE Micro Top Picks.

Energy-Efficient Hybrid Stochastic-Binary Neural Networks for Near-Sensor Computing

V. Lee, A. Alaghi, J. Hayes, V. Sathe, L. CezeDesign, Automation and Test in Europe, 2017.

Approximate Storage for Encoded and Encrypted Videos

D. Jevdjic, K. Strauss, L. Ceze, H. MalvarInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2017.

Similarity Search on Automata Processors

V.T. Lee, J. Kotalik, C.C. del Mundo, A. Alaghi, L. Ceze, M. OskinInternational Parallel and Distributed Processing Symposium (IPDPS), 2017.

A Hardware-Friendly Bilateral Solver for Real-Time Virtual Reality Video

A. Mazumdar, A. Alaghi, J.T. Barron, D. Gallup, L. Ceze, M. Oskin, S.M. SeitzHigh Performance Graphics (HPG), 2017.

Computer Security, Privacy, and DNA Sequencing: Compromising Computers with Synthesized DNA, Privacy Leaks, and More

P. Ney, K. Koscher, L. Organick, L. Ceze, T. KohnoUSENIX Security, 2017.

Customizing Progressive JPEG for Efficient Image Storage

E. Yan, K. Zhang, X. Wang, K. Strauss, L. CezeUSENIX HotStorage, 2017.

Moonwalk: NRE Optimization in ASIC Clouds or, accelerators will use old silicon

M. Khazraee, L. Zhang, L. Vega, M. TaylorArchitectural Support for Programming Languages and Operating Systems (ASPLOS), 2017.

VisualCloud Demonstration: A DBMS for Virtual Reality

B. Haynes, A. Minyaylov, M. Balazinska, L. Ceze, A. CheungSIGMOD, 2017.

Disciplined Inconsistency with Consistency Types

B. Holt, J. Bornholt, I. Zhang, D.R.K. Ports, M. Oskin, L. CezeSOCC, 2016.

A DNA-Based Archival Storage System

J. Bornholt, R. Lopez, K. Strauss, D.M. Carmean, L. Ceze, G. Seelig, K. StraussInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2016.  Selected for IEEE Micro Top Picks 2016.

High-Density Image Storage Using Approximate Memory Cells

Q. Guo, K. Strauss, L. Ceze, H. MalvarInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2016.

Disciplined Inconsistency with Consistency Types

B. Holt, J. Bornholt, I. Zhang, D.R.K. Ports, M. Oskin, L. CezeACM Symposium on Cloud Computing (SOCC), 2016.

ASIC Clouds: Specializing the Datacenter

I. Magaki, M. Khazraee, L. Vega, M. TaylorInternational Symposium on Computer Architecture (ISCA), 2016.

Optimizing Synthesis with Metasketches

J. Bornholt, E. Torlak, D. Grossman, L. CezePOPL, 2016.

Approximate Semantics for Wirelessly Networked Applications

B. Ransford, A. Sampson, L. Cezearxiv, 2015.  Earlier version appeared in Workshop on Approximate Computing Across the Stack (WACAS w/ ASPLOS) 2014

Latency-Tolerant Software Distributed Shared Memory

J. Nelson, B. Holt, B. Myers, P. Briggs, L. Ceze, S. Kahan, M. OskinUSENIX Annual Technical Conference (ATC), 2015.  Best Paper Award

REACT: A Framework for Rapid Exploration of Approximate Computing Techniques

M. Wyse, A. Baixo, T. Moreau, B. Zorn, J. Bornholt, A. Sampson, L. Ceze, M. OskinWorkshop on Approximate Computing Across the Stack (WAX w/ PLDI), 2015.

Approximate Program Synthesis

J. Bornholt, E. Torlak, L. Ceze, D. GrossmanWorkshop on Approximate Computing Across the Stack (WAX w/ PLDI), 2015.

Claret: Using Data Types for Highly Concurrent Distributed Transactions

B. Holt, I. Zhang, D. Ports, M. Oskin, L. CezeWorkshop on Principles and Practice of Consistency (PaPoC'15 w/ EuroSys), 2015.

Approximate Computing: Making Mobile Systems More Efficient

T. Moreau, A. Sampson, L. CezePervasive Computing, IEEE, 2015.

Debugging Approximate Programs via Dynamic Analysis

M. Ringenburg, A.Sampson Is Ackerman, L. Ceze, D. GrossmanInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2015.

SNNAP: Approximate Computing on Programmable SoCs via Neural Acceleration

T. Moreau, M. Wyse, J. Nelson, A. Sampson, H. Esmaeilzadeh, L. Ceze, M. OskinInternational Symposium on High-Performance Computer Architecture (HPCA), 2015.

SNNAP: Approximate Computing on Programmable SoCs via Neural Acceleration

T. Moreau, M. Wyse, J. Nelson, A. Sampson, H. Esmaeilzadeh, L. Ceze, M. OskinInternational Symposium on High-Performance Computer Architecture (HPCA), 2015.

Data Provenance Tracking for Concurrent Programs

B. Lucia, L. CezeInternational Symposium on Code Generation and Optimization (CGO), 2015.

NCAM: Near-Data Processing for Nearest Neighbor Search

C. del Mundo, V. Lee, L. Ceze, M. OskinMEMSYS, 2015.

Probability Type Inference for Flexible Approximate Programming

B. Boston, A. Sampson, D. Grossman, L. CezeOOPSLA, 2015.

"Hardware–Software Co-Design: Not Just a Cliche"

J.Bornholt A. Sampson, L. CezeSNAPL, 2015.

Robust chemical preservation of digital information on DNA in silica with error-correcting codes

R.N. Grass, R. Heckel, M. Puddu, D. Paunescu, W.J. StarkAngewandte Chemie International EditionWiley Online Library 54:8, 2015.

CortexSuite: A Synthetic Brain Benchmark Suite

S. Thomas, C. Gohkale, E. Tanuwidjaja, T. Chong, D. Lau, S. Garcia, M.Bedford TaylorInternational Symposium on Workload Characterization (IISWC), 2014.

Symbolic Execution of Multithreaded Programs from Arbitrary Program Contexts

T. Bergan, D. Grossman, L. CezeSPLASH-OOPSLA, 2014.

Alembic: Automatic Locality Extraction via Migration

B. Holt, P. Briggs, L. Ceze, M. OskinSPLASH-OOPSLA, 2014.

Exploring Energy Scalability in Coprocessor-Dominated Architectures for Dark Silicon

Q. Zheng, N. Goulding-Hotta, S. Ricketts, S. Swanson, M.Bedford Taylor, J. SampsonTransactions on Embedded Computing Systems (TECS), 2014.

Expressing and Verifying Probabilistic Assertions

A. Sampson, P. Panchekha, T. Mytkowicz, K. McKinley, D. Grossman, L. CezeConference on Programming Language Design and Implementation (PLDI), 2014.

Mercury: An Integrated, 3D-Stacked Server Design for Increasing Physical Density of Key-Value Stores

A. Gutierrez, M. Cieslak, R.G. Dreslinski, L. Ceze, T. MudgeInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2014.

Low-Level Detection of High-Level Data Races with LARD

B.P. Wood, L. Ceze, D. GrossmanInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2014.

QualityTime: A Simple Online Technique for Quantifying Multicore Execution Efficiency

A. Gupta, J. Sampson, M.Bedford TaylorInternational Symposium on Performance Analysis of Systems and Software (ISPASS), 2014.

General-Purpose Code Acceleration with Limited-Precision Analog Computation

R.St. Amant, A. Yazdanbakhsh, J. Park, B. Thwaites, H. Esmaeilzadeh, A. Hassibi, L. Ceze, D. BurgerInternational Symposium on Computer Architecture (ISCA), 2014.  Selected for IEEE Micro Top Picks Honorable Mention 2015.

Approximate Storage in Solid-State Memories

A. Sampson, J. Nelson, K. Strauss, L. CezeInternational Symposium on Microarchitecture (MICRO), 2013.

EnerJ, the Language of Good-Enough Computing

A. Sampson, L. Ceze, D. GrossmanIEEE Spectrum Feature Article, 2013.

A Landscape of the New Dark Silicon Design Regime

M. TaylorMicro, IEEE, 2013.

DNA-based Molecular Architecture with Spatially Localized Components

R. Muscat, K. Strauss, L. Ceze, G. SeeligInternational Symposium on Computer Architecture (ISCA), 2013.

Input-Covering Schedules for Multithreaded Programs

T. Bergan, D. Grossman, L. CezeWorkshop on Determinism and Correctness in Parallel Programming w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WoDet w/ ASPLOS), 2013.

Cooperative Empirical Failure Avoidance for Multithreaded Programs

B. Lucia, L. CezeInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2013.

DDOS: Taming Nondeterminism in Distributed Systems

N. Hunt, T. Bergan, L. Ceze, S. GribbleInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2013.

Flat Combining Synchronized Global Data Structures

B. Holt, J. Nelson, B. Myers, P. Briggs, L. Ceze, S. Kahan, M. OskinInternational Conference on PGAS Programming Models, 2013.

DR-SNUCA: An Energy-Scalable Dynamically Partitioned Cache

A. Gupta, J. Sampson, M.Bedford TaylorInternational Conference on Computer Design (ICCD), 2013.

Exploring Storage Class Memory with Key Value Stores

K.A. Bailey, P. Hornyack, L. Ceze, S.D. Gribble, H.M. LevyWorkshop on Interactions of NVM/FLASH with Operating Systems and Workloads, 2013.

Skadu: Efficient Vector Shadow Memories for Poly-scopic Program Analysis

D. Jeon, S. Garcia, M.Bedford TaylorConference on Code Generation and Optimization (CGO), 2013.

Bitcoin and the Age of Bespoke Silicon

M.B. TaylorInternational Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), 2013.

A Study of Virtual Memory Usage and Implications for Large Memory

P. Hornyack, L. Ceze, S. Gribble, D. Ports, H. LevyWorkshop on Interactions of NVM/FLASH with Operating Systems and Workloads, 2013.

Time Cube: A Manycore Embedded Processor with Interference-Agnostic Progress Tracking

A. Gupta, J. Sampson, M.Bedford TaylorInternational Conference On Embedded Computer Systems: Architectures, Modeling And Simulation (SAMOS), 2013.

Neural Acceleration for General-Purpose Approximate Programs

H. Esmaeilzadeh, A. Sampson, L. Ceze, D. BurgerInternational Symposium on Microarchitecture (MICRO), 2012.  Selected for IEEE Micro Top Picks 2012.

The Kremlin Oracle for Sequential Code Parallelization

S. Garcia, D. Jeon, C. Louie, M.B. TaylorMicro, IEEE 32:4, 2012.

Do We Need a Crystal Ball for Task Migration?

B. Myers, B. HoltUSENIX Workshop on Hot Topics in Parallelism (HotPar), 2012.

Towards Neural Acceleration for General-Purpose Approximate Computing

H. Esmaeilzadeh, A. Sampson, L. Ceze, D. BurgerWorkshop on Energy Efficient Design w/ International Symposium on Computer Architecture (WEED w/ ISCA), 2012.

RADISH: Always-On Sound and Complete RAce Detection In Software and Hardware

J. Devietti, B. Wood, K. Strauss, L. Ceze, S. Qadeer, D. GrossmanInternational Symposium on Computer Architecture (ISCA), 2012.

Addressing Dark Silicon Challenges with Disciplined Approximate Computing

H. Esmaeilzadeh, A. Sampson, M. Ringenburg, L. Ceze, D. Grossman, D. BurgerDark Silicon Workshop w/ International Symposium on Computer Architecture (DaSi w/ ASPLOS), 2012.

Automatic Empirical Failure Avoidance for Concurrent Software

B. Lucia, L. CezeWorkshop on Determinism and Correctness in Parallel Programming w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WoDet w/ ASPLOS), 2012.

Architecture Support for Disciplined Approximate Programming

H. Esmaeilzadeh, A. Sampson, L. Ceze, D. BurgerInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2012.

The Case For Merging Execution- and Language-level Determinism with MELD

J. Devietti, L. Ceze, D. GrossmanWorkshop on Determinism and Correctness in Parallel Programming w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WoDet w/ ASPLOS), 2012.

GreenDroid: An Architecture for the Dark Silicon Age

N. Goulding-Hotta, J. Sampson, Q. Zheng, V. Bhatt, S. Swanson, M. TaylorAsia and South Pacific Design Automation Conference (ASPDAC), 2012.

GreenDroid: Exploring the next evolution for smartphone application processors

S. Swanson, M. TaylorIEEE Communications Magazine, 2011.

The GreenDroid Mobile Application Processor: An Architecture for Silicon's Dark Future

N. Goulding-Hotta, J. Sampson, G. Venkatesh, S. Garcia, J. Auricchio, P. Huang, M. Arora, S. Nath, V. Bhatt, J. Babb, S. Swanson, M. TaylorMicro, IEEE, 2011.

Accelerating Data Race Detection with Minimal Hardware Support

R. Gonzalez-Alberquilla, K. Strauss, L. Pinuel, L. CezeEuroPar, 2011.

EnerJ: Approximate Data Types for Safe and General Low-Power Computation

A. Sampson, W. Dietl, E. Fortuna, D. Gnanapragasam, L. Ceze, D. GrossmanConference on Programming Language Design and Implementation (PLDI), 2011.

Isolating and Understanding Concurrency Errors Using Reconstructed Execution Fragments

B. Lucia, B. Wood, L. CezeConference on Programming Language Design and Implementation (PLDI), 2011.

On the Impact of Memory Models on Software Reliability in Multiprocessors

L. Effinger-Dean, A. Jaffe, T. Moscibroda, K. Strauss, L. CezeSymposium on Principles of Distributed Computing (PODC)., 2011.

Data-Race Exceptions Have Benefits Beyond the Memory Model

B. Wood, L. Ceze, D. GrossmanWorkshop on Memory System Performance and Correctness w/ Conference on Programming Language Design and Implementation (MSPC w/ PLDI), 2011.

Crunching Large Graphs with Commodity Processors

J. Nelson, B. Myers, A.H. Hunter, P. Briggs, D. Grossman, M. Oskin, C. Ebeling, S. Kahan, L. CezeUSENIX Hot Topics on Parallelism (HotPar), 2011.

Operating System Implications of Fast, Cheap, Non-Volatile Memory

K. Bailey, L. Ceze, S.D. Gribble, H.M. LevyUSENIX Hot Topics on Operating Systems (HotOS), 2011.

Dense Approximate Storage in Phase-Change Memory

J. Nelson, A. Sampson, L. CezeWild and Crazy Ideas w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WACI w/ ASPLOS), 2011.

RCDC: A Relaxed Consistency Deterministic Computer

J. Devietti, J. Nelson, T. Bergan, L. Ceze, D. GrossmanInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2011.

The Deterministic Execution Hammer: How Well Does it Actually Pound Nails?

T. Bergan, J. Devietti, N. Hunt, L. CezeWorkshop on Determinism and Correctness in Parallel Programming w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WoDet w/ ASPLOS), 2011.

Characterizing the Performance and Energy Efficiency of Lock-Free Data Structures

N. Hunt, P.S. Sandhu, L. CezeWorkshop on Interaction between Compilers and Computer Architectures w/ International Symposium on High-Performance Computer Architecture (INTERACT w/ HPCA), 2011.

Checked Load: Architectural Support for JavaScript Type-Checking on Mobile Processors

O. Anderson, E. Fortuna, L. Ceze, S. EggersInternational Symposium on High-Performance Computer Architecture (HPCA), 2011.

System Introspection with Hardware Watchmachines

N. Hunt, B. Lucia, L. CezeFun Ideas and Thoughts w/ Conference on Programming Language Design and Implementation (PLDI FIT), 2011.

Efficient Complex Operators for Irregular Codes

J. Sampson, G. Venkatesh, N. Goulding-Hotta, S. Garcia, S. Swanson, M.Bedford TaylorHigh Performance Computing Architecture (HPCA), 2011.

Reducing the Energy Cost of Irregular Code Bases in Soft Processor Systems

M. Arora, J. Sampson, N. Goulding-Hotta, J. Babb, G. Venkatesh, M.Bedford Taylor, S. SwansonIEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 2011.

Kismet: Parallel Speedup Estimates for Serial Programs

D. Jeon, S. Garcia, C. Louie, M.Bedford TaylorConference on Object-Oriented Programming, Systems, Language and Applications (OOPSLA), 2011.

System Introspection with Hardware Watchmachines

N. Hunt, B. Lucia, L. CezeFun Ideas and Thoughts w/ Conference on Programming Language Design and Implementation (PLDI FIT), 2011.

Parkour: Parallel Speedup Estimates from Serial Code

D. Jeon, S. Garcia, C. Louie, M.Bedford TaylorUSENIX Workshop on Hot Topics in Parallelism (HOTPAR), 2011.

An Evaluation of Selective Depipelining for FPGA-based Energy-Reducing Irregular Code Coprocessors

J. Sampson, M. Arora, N. Goulding-Hotta, G. Venkatesh, J. Babb, V. Bhatt, M.Bedford Taylor, S. SwansonConference on Field Programmable Logic and Applications (FPL), 2011.

Kremlin: Rethinking and Rebooting gprof for the Multicore Age

S. Garcia, D. Jeon, C. Louie, M.Bedford TaylorProceedings of the Conference on Programming Language Design and Implementation (PLDI), 2011.

QsCores: Configurable Co-processors to Trade Dark Silicon for Energy Efficiency in a Scalable Manner

G. Venkatesh, J. Sampson, N. Goulding, S.Kota Venkata, M.Bedford Taylor, S. SwansonInternational Symposium on Microarchitecture (MICRO), 2011.

Kremlin: Like gprof, but for Parallelization

D. Jeon, S. Garcia, C. Louie, S.Kota Venkata, M.Bedford TaylorPrinciples and Practice of Parallel Programming (PPoPP), 2011.

A Limit Study of JavaScript Parallelism

E. Fortuna, O. Anderson, L. Ceze, S. EggersInternational Symposium on Workload Characterization (IISWC), 2010.

Composable Specifications for Structured Shared-Memory Communication

B. Wood, A. Sampson, L. Ceze, D. GrossmanSPLASH-OOPSLA, 2010.

Deterministic Process Groups in dOS

T. Bergan, N. Hunt, L. Ceze, S. GribbleSymposium on Operating Systems Design and Implementation (OSDI), 2010.

Lock Prediction

B. Lucia, J. Devietti, T. Bergan, L. Ceze, D. GrossmanUSENIX Hot Topics on Parallelism (HotPar), 2010.

CoreDet: A Compiler and Runtime System for Deterministic Multithreaded Execution

T. Bergan, O. Anderson, J. Devietti, L. Ceze, D. GrossmanInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2010.

DMP: Deterministic Shared Memory Multiprocessing

J. Devietti, B. Lucia, L. Ceze, M. OskinIEEE Micro Top Picks in Computer Architecture, 2010.

Bridging the Parallelization Gap: Automating Parallelism Discovery and Planning

S. Garcia, D. Jeon, C. Louie, S.Kota Venkata, M.Bedford TaylorUSENIX Workshop on Hot Topics in Parallelism (HOTPAR), 2010.

GreenDroid: A Mobile Application Processor for a Future of Dark Silicon

N. Goulding, J. Sampson, G. Venkatesh, S. Garcia, J. Auricchio, J. Babb, M. Taylor, S. SwansonHOTCHIPS, 2010.

Conservation cores: reducing the energy of mature computations

G. Venkatesh, J. Sampson, N. Goulding, S. Garcia, V. Bryksin, J. Lugo-Martinez, S. Swanson, M.Bedford TaylorArchitectural Support for Programming Languages and Operating Systems (ASPLOS), 2010.

The Bulk Multicore Architecture for Improved Programmability

J. Torrellas, L. Ceze, J. Tuck, C. Cascaval, P. Montesinos, W. Ahn, M. PrvulovicCommunication of the ACM, 2009.

The Case for System Support for Concurrency Exceptions

L. Ceze, J. Devietti, B. Lucia, S. QadeerUSENIX Hot Topics on Parallelism (HotPar), 2009.

Two Hardware-based Approaches for Deterministic Multiprocessor Replay

D.R. Hower, P. Montesinos, L. Ceze, M.D. Hill, J. TorrellasResearch Highlights, Communication of the ACM, 2009.

Concurrency Discovery for Very Large Windows of Execution

J. Nelson, L. CezeWorkshop on Parallel Execution of Sequential Programs on Multi-core Architectures w/ International Symposium on Computer Architecture (PESPMA w/ ISCA), 2009.

DMP: Deterministic Shared Memory Multiprocessing

J. Devietti, B. Lucia, L. Ceze, M. OskinInternational Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2009.  Selected for IEEE Micro Top Picks 2009.

Self-Powered Processors

A. Putnam, L. Ceze, B. HazeltonWild and Crazy Ideas w/ International Conference on Architectural Support for Programming Languages and Operating Systems (WACI w/ ASPLOS), 2009.

SoftSig: Software-Exposed Hardware Signatures for Memory Disambiguation

J. Tuck, W. Ahn, L. Ceze, J. Torrellas, L. CezeIEEE Micro Top Picks in Computer Architecture, 2009.

Atom-Aid: Detecting and Surviving Atomicity Violations

B. Lucia, J. Devietti, K. Strauss, L. CezeIEEE Micro Top Picks in Computer Architecture, 2009.

Using Checkpoint-Assisted Value Prediction to Hide L2 Misses

L. Ceze, K. Strauss, J. Tuck, J. Renau, J. TorrellasACM Transactions on Architecture and Code Optimization (TACO), 2009.

Programming and Debugging Shared Memory Programs with Data Coloring

L. Ceze, C. Praun, C. Cascaval, P. Montesinos, J. TorrellasWorkshop on Compilers for Parallel Computing (CPC), 2009.

SD-VBS: The San Diego Vision Benchmark Suite

S.Kota Venkata, I.J. Ahn, D. Jeon, A. Gupta, M. TaylorIEEE International Symposium on Workload Characterization (IISWC), 2009.

Explicitly Parallel Programming with Shared-Memory is Insane: At Least Make it Deterministic!

J. Devietti, B. Lucia, M. Oskin, L. CezeWorkshop on Software and Hardware Challenges of Manycore Platforms w/ International Symposium on Computer Architecture (SHCMP w/ ISCA), 2008.

DeLorean: Recording and Deterministically Replaying Shared-Memory Multiprocessor Execution Efficiently

P. Montesinos, L. Ceze, J. TorrellasInternational Symposium on Computer Architecture (ISCA), 2008.

Atom-Aid: Detecting and Surviving Atomicity Violations

B. Lucia, J. Devietti, K. Strauss, L. CezeInternational Symposium on Computer Architecture (ISCA), 2008.  Selected for IEEE Micro Top Picks 2008.

Concurrency Control with Data Coloring

L. Ceze, C. Praun, C. Cascaval, P. Montesinos, J. TorrellasWorkshop on Memory Systems Performance and Correctness w/ International Conference on Architectural Support for Programming Languages and Operating Systems (MSPC w/ ASPLOS), 2008.

BulkSC: Bulk Enforcement of Sequential Consistency

L. Ceze, J. Tuck, P. Montesinos, J. TorrellasInternational Symposium on Computer Architecture (ISCA), 2007.

Implicit Parallelism with Ordered Transactions

C. Praun, L. Ceze, C. CascavalPrinciples and Practice of Parallel Programming (PPoPP), 2007.

Colorama: Architectural Support for Data-Centric Synchronization

L. Ceze, P. Montesinos, C. Praun, J. TorrellasInternational Symposium on High-Performance Computer Architecture (HPCA), 2007.

Tiled Microprocessors

M. TaylorMassachusetts Institute of Technology, 2007.

Runtime Checking for Program Verification

K. Zee, V. Kuncak, M. Taylor, M.C. RinardRV, 2007.

Scalable Cache Miss Handling for High Memory Level Parallelism

J. Tuck, L. Ceze, J. TorrellasInternational Symposium on Microarchitecture (MICRO), 2006.

Bulk Disambiguation of Speculative Threads in Multiprocessors

L. Ceze, J. Tuck, C. Cascaval, J. TorrellasInternational Symposium on Computer Architecture (ISCA), 2006.

POSH: A TLS Compiler that Exploits Program Structure

W. Liu, J. Tuck, L. Ceze, W. Ahn, K. Strauss, J. Renau, J. TorrellasPrinciples and Practice of Parallel Programming (PPoPP), 2006.

Are We Ready for High Memory-Level Parallelism?

L. Ceze, J. Tuck, J. TorrellasWorkshop on Memory Performance Issues w/ International Symposium on High-Performance Computer Architecture (WMPI w/HPCA), 2006.  Also appears in SIGMICRO Newsletter selection from WMPI-2006.

Energy-Efficient Thread-Level Speculation on a CMP

J. Renau, K. Strauss, L. Ceze, S. Sarangi, J. Tuck, W. Liu, J. TorrellasIEEE Micro Top Picks in Computer Architecture, 2006.

Scalar Operand Networks

M.B. Taylor, W. Lee, S. Amarasinghe, A. AgarwalIEEE Transactions on Parallel and Distributed Systems, 2005.

Scalar Operand Networks

M.B. Taylor, W. Lee, S. Amarasinghe, A. AgarwalIEEE Transactions on Parallel and Distributed Systems (TPDS), 2005.

Thread-Level Speculation on a CMP Can Be Energy Efficient

J. Renau, K. Strauss, L. Ceze, W. Liu, S. Sarangi, J. Tuck, J. TorrellasInternational Conference on Supercomputing (ICS), 2005.  Selected for IEEE Micro Top Picks 2005.

Tasking with Out-of-Order Spawn in TLS Chip Multiprocessors: Microarchitecture and Compilation

J. Renau, J. Tuck, W. Liu, L. Ceze, K. Strauss, J. TorrellasInternational Conference on Supercomputing (ICS), 2005.  Selected for IEEE Micro Top Picks 2005.

CAVA: Hiding L2 Misses with Checkpoint-Assisted Value Prediction

L. Ceze, K. Strauss, J. Tuck, J. Renau, J. TorrellasIEEE Computer Architecture Letters (CAL), 2004.

Evaluation of the Raw Microprocessor: An Exposed-Wire-Delay Architecture for ILP and Streams

M.B. Taylor, W. Lee, J. Miller, D. Wentzlaff, I. Bratt, B. Greenwald, H. Hoffmann, P. Johnson, J. Kim, J. Psota, A. Saraf, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, A. AgarwalInternational Symposium on Computer Architecture (ISCA), 2004.

An Overview Of The Blue Gene/L System Software Organization

G. Almasi, R. Bellofatto, J. Brunheroto, C. Cascaval, J.G. Castanos, P. Crumley, C.C. Erway, D. Lieber, X. Martorell, J.E. Moreira, R.K. Sahoo, A. Sanomiya, L. Ceze, K. StraussParallel Processing Letters, 2003.

An Overview Of The Blue Gene/L System Software Organization

G. Almasi, R. Bellofatto, J. Brunheroto, C. Cascaval, J.G. Castanos, L. Ceze,  othersInternational Conference on Parallel and Distributed Computing (Euro-Par), 2003.

Energy Characterization of a Tiled Architecture Processor with On-Chip Networks

J. Kim, M.B. Taylor, J. Miller, D. WentzlaffInternational Symposium on Low Power Electronics and Design (ISLPED), 2003.

Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architectures

M.B. Taylor, W. Lee, S. Amarasinghe, A. AgarwalInternational Symposium on High Performance Computer Architecture (HPCA), 2003.

A 16-issue Multiple-Program-Counter Microprocessor with Point-to-Point Scalar Operand Network

M.B. Taylor, J. Kim, J. Miller, D. Wentzlaff, F. Ghodrat, B. Greenwald, H. Hoffmann, P. Johnson, W. Lee, A. Saraf, N. Shnidman, V. Strumpen, S. Amarasinghe, A. AgarwalIEEE International Solid-State Circuits Conference (ISSCC), 2003.

Full Circle: Simulating Linux Clusters on Linux Clusters

L. Ceze, K. Strauss,  othersLCI International Conference on Linux Clusters (CWCE), 2003.  Selected as one of the top 3 papers in the conference.

Blue Gene/L, a system-on-a-chip

G. Almasi,  othersIEEE International Conference on Cluster Computing (CC), 2002.

The Raw Microprocessor: A Computational Fabric for Software Circuits and General Purpose Programs

M.B. Taylor, J. Kim, J. Miller, D. Wentzlaff, F. Ghodrat, B. Greenwald, H. Hoffmann, P. Johnson, J.W. Lee, W. Lee, A. Ma, A. Saraf, M. Seneski, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, A. AgarwalIEEE Micro, 2002.

Cellular Supercomputing with System-on-a-Chip

G. Almasi,  othersInternational Solid State Circuits Conference (ISSCC), 2002.

An environment for easy cross synchronization of multimedia Web based material

I. Stiubiener, L.H. Ceze, K. Strauss, C.B. Margi, R.M. Silveira, W.V. RuggieroFrontiers in Education, 2000.

Baring it all to Software: Raw Machines

E. Waingold, M. Taylor, D. Srikrishna, V. Sarkar, W. Lee, V. Lee, J. Kim, M. Frank, P. Finch, R. Barua, J. Babb, S. Amarasinghe, A. AgarwalIEEE Computer, 1997.

The Raw Benchmark Suite: Computation Structures for General Purpose Computing

J. Babb, M. Frank, V. Lee, E. Waingold, R. Barua, M. Taylor, J. Kim, S. Devabhaktuni, A. AgarwalIEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), 1997.

The RAW compiler project

A. Agarwal, S. Amarasinghe, R. Barua, M. Frank, W. Lee, V. Sarkar, D. Srikrishna, M. TaylorProceedings of the Second SUIF Compiler Workshop, 1997.